我们在一些事情上受到启发后,可以通过写心得体会的方式将其记录下来,它可以帮助我们了解自己的这段时间的学习、工作生活状态。那么心得体会该怎么写?想必这让大家都很苦恼吧。以下是我帮大家整理的最新心得体会范文大全,希望能够帮助到大家,我们一起来看一看吧。
eda技术实验总结及心得体会篇一
university 《eda技术》实验报告
学
院:电子与信息工程学院
专
业:电子信息科学与技术
姓
名:
xxx
班
级:
xxx
学
号:
xxxxxxxxx
指导老师:
xxx
这是模板,仅供参考,做实验报告的步骤都有,大家最好自己操练下,里面只有三个实验的例子
max+plus 实验名称:设计作业(实验一)四选一多路选择器
一、实验目的:熟悉max+plus软件的操作及应用
二、实验步骤
1建立存储工程的文件夹,如下:
2.打开max+plusii软件
3.建立工程
4.新建文本文件并以vhd格式保存()
5.敲入mux21代码使其生成四选一芯片
5.1点击max+puls ii/compiler进行编译
5.2点击file/edit symbol即可对生成的四选一芯片进行编辑
6.建立电路图文件并保存(注意保存的名字不能与文本名字一致)
然后在空白处点击右键再点击enter symbol,双击刚刚建立的芯片即可
接下来就构建原理图了
进行编译后,如果要下载到开发板上的话还要选择引脚
点击此处拖到芯片的引脚即可
7.建立波形图并保存
点击node/enter nodes from...这样在波形图中就把电路图的输入输出引脚全部调进来了 在里面选择各个输入引脚的的信号就行了
经过编译后再仿真(点击max +plus/simulator)就可得到输出的波形了 max+plus 设计作业(实验二)实验名称:全加器的制作
一、实验目的:熟练掌握max+plus软件的操作
二、实验步骤
1.组成部件半加器源代码 library ieee;use ;use ;use ;entity h_addr is port(a,b :in std_logic;co,so:out std_logic);end h_addr;architecture a of h_addr is begin so
图一
3 时序仿真图形
max+plus 设计作业(实验三)实验名称:矩阵键盘的制作
一、实验目的:熟悉max+plus软件的操作及应用
二、实验步骤
1 文件的设计
library ieee;use ;use ;entity scanselect is port(clk:in std_logic;
res:in std_logic;in1,in2,in3,in4,in5,in6:in std_logic_vector(3 downto 0);sel:out std_logic_vector(2 downto 0);daout:out std_logic_vector(3 downto 0));end scanselect;architecture behave of scanselect is begin process(clk)variable cnt:std_logic_vector(2 downto 0);begin if(clkevent and clk=1)then
if res=0then
cnt:="000";
else if cnt="101" then cnt:="000";else cnt:=cnt+1;end if;end if;if cnt="000" then daout(0)
2.生成的图形
2.电路图层的设计
eda技术实验总结及心得体会篇二
eda课程实验报告
----移位相加8位硬件乘法器电路计
ou 1
移位相加硬件乘法器设计
一.实验目的1、学习移位相加8 位硬件乘法器电路设计;
2、学习应用eda 技术进行项目设计的能力
二.实验原理
该乘法器是由8位加法器构成的以时序方式设计的8位乘法器。其乘法原理是:乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若
为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位。
实验箱内部结构图
:
三.实验设备
1.安装quartus ii 软件的pc一台;
2.实验箱一个 四.实验步骤
1.输入下列vhdl程序:
2.编译程序,并连接实验箱并下载 3.在实验箱上按下列要求进行设置:
①选择模式1 ②clkk控制移位相加速度,接clock0=4hz ③a[7..0]、b[7..0]输入数据 显示于此4个数码管上
④dout[15..0]接数码管8/7/6/5,显示16位乘积:pio31—pio16 ⑤接键8(pio49):高电平清0,低电平计算允许
⑥a[7..0]接键2/1,输入8位乘数 pio7—pio0(模式1)⑦b[7..0]接键2/1,输入8位被乘数 pio7—pio0(模式1)
五.实验结果
实验程序编译运行后rtl电路图
ou 1)2
(模式
实验rtl电路
a[7..0]接键2/1,输入8位乘数:a2(十六进制)b[7..0]接键4/3,输入8位被乘数:33(十六进制)可得结果dout[15..0]:2046(十六进制)六:心得体会
通过电子设计的数字部分eda设计,我们掌握了系统的数字电子设计的方法,也知道了实验调试适配的具体操作方法。
通过实验,进一步加深了对eda的了解,让我对它有了浓厚的兴趣。但是在调试程序时,遇到了不少问题,编译下载程序时,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。
ou 3
eda技术实验总结及心得体会篇三
eda心得体会
【篇1:eda心得体会】
eda学习心得
班号:072093-05 学号:20091000880
课程刚开始的时候,对eda技术很陌生,也感到很茫然,也非常没有信心,当接触到可编程器件的时候,看到大家同样感到很迷惘。或许,在学习eda的时候,我应该比别人更有些优势,在双学位计算机的课程里我已经学过《数字逻辑》,而eda的一些内容也是和《数字逻辑》直接相关联的。
通过一学期的努力学习,查阅了一些相关技术的书籍,书中通过大量的图示对pld硬件特性与编程技术进行了形象的讲解,不仅融合了之前学习的关于电路设计的知识还将eda的技术加入其中。对vhdl语言的详尽讲解更是让我深刻理解了vhdl语言的编程原理。由于本门课程是一门硬件学习课程,所以实验必不可少。通过课程最后实验,我体会一些vhdl语言相对于其他编程语言的特点。
在接触vhdl语言之前,我已经学习了c语言,汇编语言,而相对于这些语言的学习,vhdl 具有明显的特点。这不仅仅是由于vhdl 作为一种硬件描述语言的学习需要了解较多的数字逻辑方面的硬件电路知识,包括目标芯片基本结构方面的知识更重要的是由于vhdl 描述的对象始终是客观的电路系统。由于电路系统内部的子系统乃至部分元器件的工作状态和工作方式可以是相互独立、互不相关的,也可以是互为因果的。这表明,在任一时刻,电路系统可以有许多相关和不相关的事件同时并行发生。例如可以在多个独立的模块中同时入行不同方式的数据交换和控制信号传输,这种并行工作方式是任何一种基于cpu 的软件程序语言所无法描绘和实现的。传统的软件编程语言只能根据cpu 的工作方式,以排队式指令的形式来对特定的事件和信息进行控制或接收。在cpu 工作的任一时间段内只能完成一种操作。因此,任何复杂的程序在一个单cpu 的计算机中的运行,永远是单向和一维的。因而程序设计者也几乎只需以一维的思维模式就可以编程和工作了。
在学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,相反的,每次做完实验之后,都会感觉自己收获不少,因此,我认为在老师今后的教学当中,应当更加注重动手实验,把理论与实践很好的结合起来,才能使同学融会贯通。
【篇2:eda学习心得】
eda 学习心得
姓名:贺鑫学号:20081001164 班号:072085-10
首先,通过对这门课程相关理论的学习,我掌握了eda的一些基本的的知识,现代电子产品的性能越来越高,复杂度越来越大,更新步伐也越来越快。实现这种进步的主要原因就是微电子技术和电子技术的发展。前者以微细加工技术为代表,目前已进入超深亚微米阶段,可以在几平方厘米的芯片上集成几千万个晶体管;后者的核心就是电子设计自动化eda(electronic design automatic)技术。eda是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子cad通用软件包,它根据硬件描述语言hdl完成的设计文件,自动完成逻辑编译、化简、分割、综合、优化、布局布线及仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
eda技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。
其次,通过对课程的实验的学习,我对eda的学习和理解有了更深刻的认识和体会。我们团队共四个人,做的是两层电梯控制器,作为这个实验的一员与负责人,我感到很有压力。因为只对课本知识的学习,我对实验做成功的把握不是很大。因为我们是机械专业,学习电的知识也主要是通过大二学的《电工学》,因此只能对数字逻辑与数字电路有初步的了解,而eda是在数字电路发展到一定阶段的产物,因此学习起来也很费力。
然而,在我们团队的共同努力下,我们最终成功地完成了这个实验,包括时序仿真和硬件测试仿真,都取得了非常成功地效果。
在上实验课的时候,那个周六下午,整个实验室只有那寥寥几人,我很庆幸我是其中的一人,因为在那里我学习到了很多,我完成了上次实验没有完成的扫描显示的实验,也完成了步进电机控制器的实验,还在老师的指导下完成了梁祝音乐演示实验,最后在晚上我也去了实验室,和我们团队成员开始进行两层电梯控制器的设计,通过一个晚上的努力,我们最终把它给调试了出来。
通过实验,我激发了eda学习的兴趣,也对这门课程有了更深的理解,对eda设计软件quarter ⅱ的使用也更加熟练。老师给我们的材料中,用的是gal器件,我们最终用的是fpga器件,也就是ep1k10tc100—3芯片,我们分析了电梯在整个运行过程中的状态,并参考资料写出了状态图,然后根据状态图用有限状态机来实现了各个状态之间的转换,进而实现了对电梯的控制。
在设计过程中,我们遇到了很多困难,尤其是在电梯开门于关门那个自动控制方面,起初我想用一个延迟信号赋值语句解决这个问题,但是由于这个延迟在综合器里面不能得到体现,综合器在综合是会忽略after之后的延迟,因此我该用了一个计数器溢出的底层元件。通过元件例化语句实现在顶层文件中对其的调用。
在完成vhdl的编辑以后,进行编译,结果出现了很多错误,在我们细心的检查和排
对这门课程的最大收获除了学习到了知识以外,更重要的是让我明白了一个道理:只要全身心的投入到一件事中,并且要有持之以恒的决心,就一定会有收获。有的人觉得自己做不出来,就网上搜一个了事,但是,放弃一次黑暗中摸索的经历,就放弃了一次成长的机会!如果你付出了,没有收获。那只能说,是付出的还不够多。
我想我对eda的学习只能算是个入门,这个领域的发展空间非常大,应用范围也非常广泛,而且我相信在将来还会有更加广阔的应用前景。因此在以后的学习过程中,我不能因为课程学习的结束而结束了我对这个领域的探索,相反我会更加努力的去学习它。感谢老师孜孜不倦的教诲,让我不仅学到了知识,也学到了做人做事的一些道理,为我提供了很多帮助。在接下来的学习生涯中,我会继续努力,努力扎实地学习专业知识,实现自己的理想。
【篇3:eda心得体会】
eda学习心得体会
大三时候开始了专业课的学习,其中eda就是要学的一门专业课,课程刚开始的时候,对eda技术很陌生,也感到很茫然,也非常没有信心,当接触到可编程器件的时候,看到大家同样感到很迷惘。首先,通过对这门课程相关理论的学习,我掌握了eda的一些基本的的知识,现代电子产品的性能越来越高,复杂度越来越大,更新步伐也越来越快。实现这种进步的主要原因就是微电子技术和电子技术的发展。前者以微细加工技术为代表,目前已进入超深亚微米阶段,可以在几平方厘米的芯片上集成几千万个晶体管;后者的核心就是电子设计自动化eda(electronic design automatic)技术,由于本门课程是一门硬件学习课程,所以实验必不可少。通过课程最后实验,我体会一些vhdl语言相对于其他编程语言的特点。
在接触vhdl语言之前,我已经学习了c语言,汇编语言,而相对于这些语言的学习,vhdl 具有明显的特点。这不仅仅是由于vhdl 作为一种硬件描述语言的学习需要了解较多的数字逻辑方面的硬件电路知识,包括目标芯片基本结构方面的知识更重要的是由于vhdl 描述的对象始终是客观的电路系统。由于电路系统内部的子系统乃至部分元器件的工作状态和工作方式可以是相互独立、互不相关的,也可以是互为因果的。这表明,在任一时刻,电路系统可以有许多相关和不相关的事件同时并行发生。因此,任何复杂的程序在一个单cpu 的计算机中的运行,永远是单向和一维的。因而程序设计者也几乎只需以一维的思维模式就可以编程和工作了。
在学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,感觉学到的很没用,我们现在学到的还很少,只是编写一些简单的程序。相反的,每次做完实验之后,都会感觉自己收获不少,每次都会有问题,因此,我认为在老师今后的教学当中,应当更加注重动手实验,把理论与实践很好的结合起来,才能使同学融会贯通。现在感觉到对这门课还只有很少的认识,所以希望很认真的续下去

一键复制